Tool: FlashLoader

The FlashLoader application can be used to program a bitfile or any other data to the onboard FPGA flash of supported devices.

Usage Information

Running the sample to load your own bitfile into flash is easy enough:

  1. Download the pre-built sample bitfiles corresponding to your FPGA module from our Pins Downloads.
  2. Find flashloader.exe (and its source code) in the Samples Directory installed with FrontPanel
  3. You need to have the following in a single directory:
    • flashloader.exe
    • okFrontPanel.dll (.so for Linux, .dylib for macOS)
    • flashloader.bit (from step 1)
    • Your own bitfile to be programmed into flash

Write a Bitfile

  1. At the command line, run: flashloader.exe w <path to valid bitfile>

In releases prior to FrontPanel 5.2.7, the w parameter is omitted.

Read Contents of FPGA Flash

  1. At the command line, run: flashloader.exe r <sector start> <sector end> <output file>

This feature was added in FrontPanel 5.2.7.

Important Notes

Power-On Configuration Speed

The rate at which a bitfile can be loaded at power-on from flash is configured during bitstream generation. Read the Xilinx/Intel SPI configuration user guide appropriate for your product to determine how to configure this. Flash configuration and connections are documented in your product’s documentation space. Note that the flashloader tool sets the flash part’s QE bit so x4 configuration can be used, if supported (currently XEM8310, XEM8320, and XEM8350).

Changelog

FrontPanel 5.2.7

  • Added flash read functionality
  • Fixed issue in HDL where an extra flash sector would be erased
  • Fixed issue where last sector transfer would contain old data